IT練習ノート

IT関連で調べたこと(実際は嵌ったこと)を書いています。

FPGA

HaskellでFPGAの最小のサンプル

入力を反転するだけのコード Not gate コンパイル $ stack exec -- clash --interactive CLaSHi, version 0.99 (using clash-lib, version 0.99): http://www.clash-lang.org/ :? for help Clash.Prelude> :cd ../worka Clash.Prelude> :! ls Clash01.hs Cla…

clashのインストール (Mac成功 / Windows失敗)

clashのインストールをしています。 www.clash-lang.org Macではインストールができましたが、Windowsではできませんでした。 Macの環境 OS X Yosemite 10.10.5 The Glorious Glasgow Haskell Compilation System, version 8.2.1 stack 1.6.3 x86_64 hpack-0…

Verilogの最初の一歩

入力を反転 module Lesson1(switch, led); input switch; output led; assign led = !switch; endmodule // テストベンチ module tb_Lesson1; reg in; wire out; Lesson1 l1 (in, out); initial begin $dumpfile("Lesson1.vcd"); $dumpvars(0, tb_Lesson1); …

GtkWaveで波形がでない

最初は波形はでていない。appendが必要

FPGA入門環境編

環境はWindows10です。 コンパイラの準備 Icarus Verilog for Windows エディタの準備 VSCodeをVerilogHDLのすごいエディタにする - たいちょーの雑記 上記の記事を参考にさせていただきました。少しだけ変更しました。(変更しなくてもできるかもしれません…