入力を反転 module Lesson1(switch, led); input switch; output led; assign led = !switch; endmodule // テストベンチ module tb_Lesson1; reg in; wire out; Lesson1 l1 (in, out); initial begin $dumpfile("Lesson1.vcd"); $dumpvars(0, tb_Lesson1); …
引用をストックしました
引用するにはまずログインしてください
引用をストックできませんでした。再度お試しください
限定公開記事のため引用できません。