IT練習ノート

IT関連で調べたこと(実際は嵌ったこと)を書いています。

2017-12-20から1日間の記事一覧

FPGA入門環境編

環境はWindows10です。 コンパイラの準備 Icarus Verilog for Windows エディタの準備 VSCodeをVerilogHDLのすごいエディタにする - たいちょーの雑記 上記の記事を参考にさせていただきました。少しだけ変更しました。(変更しなくてもできるかもしれません…