IT練習ノート

IT関連で調べたこと(実際は嵌ったこと)を書いています。

FPGA入門環境編

環境はWindows10です。

コンパイラの準備

Icarus Verilog for Windows

f:id:naotoogawa:20171224160001p:plain

f:id:naotoogawa:20171224160010p:plain

f:id:naotoogawa:20171224160029p:plain

f:id:naotoogawa:20171224160039p:plain

f:id:naotoogawa:20171224160106p:plain

f:id:naotoogawa:20171224160119p:plain

f:id:naotoogawa:20171224160134p:plain

f:id:naotoogawa:20171224160150p:plain

f:id:naotoogawa:20171224160233p:plain

f:id:naotoogawa:20171224160247p:plain

エディタの準備

VSCodeをVerilogHDLのすごいエディタにする - たいちょーの雑記

上記の記事を参考にさせていただきました。少しだけ変更しました。(変更しなくてもできるかもしれません。)変更点は下記の2点です。

VSCodeを管理者として実行する。

スクリプトを下記のようにして、iverilogだけでなくvvpを起動するようにする。

C:\iverilog\bin\iverilog.exe %1
C:\iverilog\bin\vvp.exe a.out

f:id:naotoogawa:20171224155921p:plain

追記1

バッチファイルは、対象フォルダのファイルをコンパイルして、開いているファイルをトップモジュールとするように変更

C:\iverilog\bin\iverilog.exe -o a.out -s %~n1 %~p1\*.v
C:\iverilog\bin\vvp.exe a.out

追記2

gtkwaveで波形が起動できるようにする。

バッチファイルを作る

C:\iverilog\gtkwave\bin\gtkwave.exe -f %1

設定

    "runner.extensionMap": {
        "vcd":"C:\\Users\\User\\Documents\\FPGA\\lib\\run_gtkwave.bat"
    },