IT練習ノート

IT関連で調べたこと(実際は嵌ったこと)を書いています。

2018-01-19から1日間の記事一覧

HaskellでFPGAの最小のサンプル

入力を反転するだけのコード Not gate コンパイル $ stack exec -- clash --interactive CLaSHi, version 0.99 (using clash-lib, version 0.99): http://www.clash-lang.org/ :? for help Clash.Prelude> :cd ../worka Clash.Prelude> :! ls Clash01.hs Cla…