IT練習ノート

IT関連で調べたこと(実際は嵌ったこと)を書いています。

2017-12-26から1日間の記事一覧

Verilogの最初の一歩

入力を反転 module Lesson1(switch, led); input switch; output led; assign led = !switch; endmodule // テストベンチ module tb_Lesson1; reg in; wire out; Lesson1 l1 (in, out); initial begin $dumpfile("Lesson1.vcd"); $dumpvars(0, tb_Lesson1); …